Pages: [1]   Go Down
  Print  
Author Topic: Microsoft's upcoming custom chip will be made by Intel  (Read 29 times)
HCK
Global Moderator
Hero Member
*****
Posts: 79425



« on: February 25, 2024, 04:05:07 pm »

Microsoft's upcoming custom chip will be made by Intel

<p>Intel's relatively new Foundry division — formerly known as Intel Foundry Services until earlier today — has just landed a notable order from a big name. According to <a data-i13n="cpos:1;pos:1" href="https://www.bloomberg.com/news/articles/2024-02-21/microsoft-will-use-intel-to-manufacture-home-grown-processor">Bloomberg[/url] and <a data-i13n="elm:affiliate_link;sellerN:The Wall Street Journal;elmt:;cpos:2;pos:1" href="https://shopping.yahoo.com/rdlw?merchantId=2f007401-3eaa-4237-b69b-54ccbe125502&amp;siteId=us-engadget&amp;pageId=1p-autolink&amp;featureId=text-link&amp;merchantName=The+Wall+Street+Journal&amp;custData=eyJzb3VyY2VOYW1lIjoiV2ViLURlc2t0b3AtVmVyaXpvbiIsImxhbmRpbmdVcmwiOiJodHRwczovL3d3dy53c2ouY29tL2xpdmVjb3ZlcmFnZS9zdG9jay1tYXJrZXQtdG9kYXktZG93LWpvbmVzLWVhcm5pbmdzLTAyLTIxLTIwMjQvY2FyZC9pbnRlbC11bnZlaWxzLW1pY3Jvc29mdC1hcy1jdXN0b21lci1naXZlcy1uZXctZGV0YWlsLWFib3V0LWNvbnRyYWN0LWNoaXAtbWFraW5nLWFybS1aUG9qYjdLS2duZmtoazRLTklCYSIsImNvbnRlbnRVdWlkIjoiZWQ0OGU1NjUtODFiNS00MzJmLWIzZDMtNzE3YmUwNzQwMGY3In0&amp;signature=AQAAAZ82K3z0InRqqZDCuM3Smw-3VMSVTfSjs4Ux2XumOg4o&amp;gcReferrer=https%3A%2F%2Fwww.wsj.com%2Flivecoverage%2Fstock-market-today-dow-jones-earnings-02-21-2024%2Fcard%2Fintel-unveils-microsoft-as-customer-gives-new-detail-about-contract-chip-making-arm-ZPojb7KKgnfkhk4KNIBa" class="rapid-with-clickid" data-original-link="https://www.wsj.com/livecoverage/stock-market-today-dow-jones-earnings-02-21-2024/card/intel-unveils-microsoft-as-customer-gives-new-detail-about-contract-chip-making-arm-ZPojb7KKgnfkhk4KNIBa">The Wall Street Journal[/url], Microsoft CEO Satya Nadella announced that his company will be tapping into Intel's latest 18A (1.8nm) fabrication process for an upcoming in-house chip design. But given Intel's process roadmap, this means we likely won't be seeing Microsoft's new chip until 2025.</p>
<p>While neither company disclosed the nature of said silicon, Microsoft did unveil its custom-made <a data-i13n="cpos:3;pos:1" href="https://www.engadget.com/microsoft-will-use-custom-designed-chips-to-bolster-its-ai-services-160050479.html">Azure Maia AI Accelerator and Azure Cobalt 100 CPU[/url] server chips last November, with an expected rollout some time "early" this year to bolster its own <a data-i13n="cpos:4;pos:1" href="https://www.engadget.com/microsoft-bet-big-on-ai-in-2023-but-its-ai-future-is-still-unclear-143055721.html">AI services[/url]. The Cobalt 100 is based on Arm architecture, and it just so happens that Intel has been <a data-i13n="cpos:5;pos:1" href="https://www.engadget.com/intel-is-optimizing-its-fabs-to-become-an-arm-chip-manufacturer-164008043.html">optimizing[/url] its 18A process for Arm designs since April last year (it even became an <a data-i13n="cpos:6;pos:1" href="https://www.engadget.com/intel-joins-apple-alphabet-and-samsung-as-an-arm-investor-120115199.html">Arm investor[/url] later), so there's a good chance that this collaboration may lead to the next-gen Cobalt CPU.</p>
<span id="end-legacy-contents"></span><p>In addition to the usual efficiency improvements as node size decreases, Intel 18A also offers "the industry's first backside power solution" which, according to IEEE's <a data-i13n="cpos:7;pos:1" href="https://spectrum.ieee.org/intel-18a">Spectrum[/url], separates the power interconnect layer from the data interconnect layer at the top, and moves the former to beneath the silicon substrate — as implied by the name. This apparently allows for improved voltage regulation and lower resistance, which in turn enable faster logic and lower power consumption, especially when applied to 3D stacking.</p>
<figure><img src="https://s.yimg.com/os/creatr-uploaded-images/2024-02/51de4900-d138-11ee-97e5-7fc24bbee76a" data-crop-orig-src="https://s.yimg.com/os/creatr-uploaded-images/2024-02/51de4900-d138-11ee-97e5-7fc24bbee76a" style="height:1067px;width:1600px;" alt="Announced at Intel Foundry Direct Connect, Intel’s extended process technology roadmap adds Intel 14A to the company’s leading-edge node plan, in addition to several specialized node evolutions and new Intel Foundry Advanced System Assembly and Test capabilities. Intel also affirmed that its ambitious five-nodes-in-four-years process roadmap remains on track and will deliver the industry’s first backside power solution." data-uuid="98f57f14-964d-334c-94f0-d4ad33e4131e"><figcaption></figcaption><div class="photo-credit">Intel</div></figure>
<p>In Intel's Q4 earnings call, CEO Pat Gelsinger <a data-i13n="cpos:8;pos:1" href="https://www.tomshardware.com/pc-components/cpus/intel-makes-a-big-ai-push-with-future-cpus-panther-lake-in-2025-will-double-the-ai-performance-over-arrow-lake-and-lunar-lake">confirmed[/url] that "18A is expected to achieve manufacturing readiness in second half '24." Given that Intel's very own 18A-based processors — "Clearwater Forest" for servers and "Panther Lake" for clients — won't arrive until 2025, chances are it'll be a similar time frame for Microsoft's next chip.</p>
<p>At Intel's event earlier today, the exec shared an extended Intel Foundry process technology roadmap, which features a new 14A (1.4nm) node enabled by <a data-i13n="cpos:9;pos:1" href="https://www.asml.com/en/news/stories/2024/5-things-high-na-euv">ASML's "High-NA EUV"[/url] (high-numerical aperture extreme ultraviolet) lithography system. According to <a data-i13n="cpos:10;pos:1" href="https://www.anandtech.com/show/21271/intel-foundry-future-14a-foveros-direct-beyond">AnandTech[/url], this 14A leap may help Intel play catchup after its late EUV adoption for its <a data-i13n="cpos:11;pos:1" href="https://www.engadget.com/intel-chip-roadmap-7nm-20a-210033768.html">Intel 4[/url] (7nm) node, though risk production won't take place until the end of 2026.</p>
<p>Intel Foundry is the brainchild of Gelsinger, who <a data-i13n="cpos:12;pos:1" href="https://www.engadget.com/intel-7nm-2023-meteor-lake-213933055.html">launched[/url] this department right after he assumed the CEO role in February 2021, as part of his ambitious plan to put Intel up against the likes of TSMC and Samsung in the contract chip-making market. Before Microsoft, Intel Foundry's list of clients already include MediaTek, Qualcomm and Amazon. The company still <a data-i13n="elm:affiliate_link;sellerN:Intel;elmt:;cpos:13;pos:1" href="https://shopping.yahoo.com/rdlw?merchantId=2d327af4-8b42-4ff1-a409-ae7bf906e9e9&amp;siteId=us-engadget&amp;pageId=1p-autolink&amp;featureId=text-link&amp;merchantName=Intel&amp;custData=eyJzb3VyY2VOYW1lIjoiV2ViLURlc2t0b3AtVmVyaXpvbiIsImxhbmRpbmdVcmwiOiJodHRwczovL3d3dy5pbnRlbC5jb20vY29udGVudC93d3cvdXMvZW4vbmV3c3Jvb20vbmV3cy9pbnRlbC11cGRhdGUtaW50ZXJuYWwtZm91bmRyeS1tb2RlbC5odG1sIiwiY29udGVudFV1aWQiOiJlZDQ4ZTU2NS04MWI1LTQzMmYtYjNkMy03MTdiZTA3NDAwZjcifQ&amp;signature=AQAAAdoysW9z7A2RZ5Pr9iqzsl3KGsnBhqRQxD6mV3aEHnAq&amp;gcReferrer=https%3A%2F%2Fwww.intel.com%2Fcontent%2Fwww%2Fus%2Fen%2Fnewsroom%2Fnews%2Fintel-update-internal-foundry-model.html" class="rapid-with-clickid" data-original-link="https://www.intel.com/content/www/us/en/newsroom/news/intel-update-internal-foundry-model.html">aims[/url] to become "the second largest external foundry by 2030" in terms of manufacturing revenue, which it believes is achievable as early as this year.</p>This article originally appeared on Engadget at https://www.engadget.com/microsofts-upcoming-custom-chip-will-be-made-by-intel-063323035.html?src=rss

Source: Microsoft's upcoming custom chip will be made by Intel
Logged
Pages: [1]   Go Up
  Print  
 
Jump to: